1read 100read
2013年04月電気・電子20: AVRマイコン総合スレ Part28 (234) TOP カテ一覧 スレ一覧 2ch元 削除依頼
【Cortex-】 やっぱARMっしょ 7 【AxRxMx】 (609)
pic初心者専用のスレ その1 (259)
AVRマイコン総合スレ Part28 (234)
AVRマイコン総合スレ Part28 (234)
【Renesas】ルネサス 78K R8C RL78 RX SH V850 6 (279)
AVRマイコン総合スレ Part28 (234)

AVRマイコン総合スレ Part28


1 :2013/03/16 〜 最終レス :2013/04/01
           _____
         /       /|
       /   ( ゚∀゚) /.∠フ   個人でも手軽に使える
     /       /.∠フ || . Atmelの8-bit RISCマイクロプロセッサを
   /  __    o/.∠フ ||    中心に語るスレッドです。
 ∠| ̄ ̄凵 ̄ ̄|∠フ ||     *AAは「AVR H8 etc. マイコン総合スレ Part3」からいただきました。
 .|| |_____|/||
Atmel AVR 8-Bit RISC     http://www.atmel.com/products/avr/

2 :
◎前スレ◎
Part27 http://uni.2ch.net/test/read.cgi/denki/1353418282/l50
Part26 http://uni.2ch.net/test/read.cgi/denki/1346148653/
Part25 http://uni.2ch.net/test/read.cgi/denki/1341980993/
Part24 http://uni.2ch.net/test/read.cgi/denki/1332128409/
Part23 http://uni.2ch.net/test/read.cgi/denki/1323175269/
Part22 http://kamome.2ch.net/test/read.cgi/denki/1314856582/
Part21 http://kamome.2ch.net/test/read.cgi/denki/1303948383/
Part20 http://kamome.2ch.net/test/read.cgi/denki/1290517183/
Part19 http://kamome.2ch.net/test/read.cgi/denki/1284117177/
Part19 http://kamome.2ch.net/test/read.cgi/denki/1277467349/
Part18 http://science6.2ch.net/test/read.cgi/denki/1267885927/
Part17 http://science6.2ch.net/test/read.cgi/denki/1261051995/
Part16 http://science6.2ch.net/test/read.cgi/denki/1252285807/
Part15 http://science6.2ch.net/test/read.cgi/denki/1247238097/
Part14 http://science6.2ch.net/test/read.cgi/denki/1239940189/
Part13 http://science6.2ch.net/test/read.cgi/denki/1231687664/
Part12 http://science6.2ch.net/test/read.cgi/denki/1223778551/
Part11 http://science6.2ch.net/test/read.cgi/denki/1212840469/
Part10 http://science6.2ch.net/test/read.cgi/denki/1203495784/
Part9 http://science6.2ch.net/test/read.cgi/denki/1192625746/
Part8 http://science6.2ch.net/test/read.cgi/denki/1177933605/
Part7 http://science6.2ch.net/test/read.cgi/denki/1162464623/
Part6 http://science4.2ch.net/test/read.cgi/denki/1148195387/
Part5 http://science4.2ch.net/test/read.cgi/denki/1125327648/
Part4 http://science3.2ch.net/test/read.cgi/denki/1108290187/

3 :
<参考になるページ>
・AVR-wiki: http://www34.atpages.jp/avrwiki/wiki.cgi
・AVR情報多い-chanさんのpage: http://elm-chan.org/
・avrfreaks.net avrgccその他AVR関連の総本山(英語): http://www.avrfreaks.net/
・TAP-Config: http://ww2.tiki.ne.jp/~maro/
・自分で作ってしまえ!電子工作スレッドテンプレート集: http://jbbs.shitaraba.com/bbs/read.cgi/study/3329/1067910158/
・AVR.jp 日本語のAVR資料他: http://www.avr.jp/
・ATMega168日本語データシート(翻訳中): http://awawa.hariko.com/chira-ura/
・AVR アセンブラのマニュアル(ユーザーズガイド): http://www.atmel.com/Images/doc1022.pdf
・インストラクション(命令セット)の解説: http://www.atmel.com/Images/doc0856.pdf
・条件付きアセンブルの解説(アセンブラ ver. 1.74対応):http://www.atmel.com/Images/doc2550.pdf
・アセンブラとCの連携方法: http://www.atmel.com/Images/doc1234.pdf

4 :


5 :
「世の中に絶えて板場のなかりせば春の心はのどけからまし」
さぁみんな、どんなに罵られてもくじけないでレベルアップ目指そうね

6 :
>>1
遅くね?

7 :
Atlem Studio 6とAVR-ISPmk2は組み合わせて使えますか?

8 :
はい

9 :
>>8
ありがとうございます。これで安心してライタ買えます。

10 :
>>7
AVRISP mkIIの付属CDにAVRStudio4が入ってるよ。
もちろん、AtmelStudio6でも使える。
AVRISP mkIIのファームウエアアップデートもお忘れ無く。

11 :
>>10
詳しくありがとうございます。
ファームウェアのアップデートって筐体開けてピンをショートするんでしたっけ?

12 :
> もちろん

13 :
>>11
ネット環境につながったPCでAtmelStudio6を起動させて、AVRISP MKIIつないだら
UpDateしろかなにか表示が出たはず。
なんか表示がわかりにくかったような記憶があるなぁ。
ピンのショートとかは不要だったはず。

14 :
>>704
> マイコンが壊れているせいも考えられるのでしょうか?
> 単4電池2本で電圧は足りるのでしょうか?
> あぁ・・・ワザワザ高い金払って秋葉原までいったのに・・・
> また、AVR studioの設定による問題もありえるのでしょうか?
> 少し悪あがきをして、ダメだったらマイコン買いなおしますw
> (´;ω;`)

        ゴガギーン
             ドッカン
         m    ドッカン
  =====) ))         ☆
      ∧_∧ | |         /          / ̄ ̄ ̄ ̄ ̄ ̄ ̄ ̄ ̄ ̄ ̄ ̄ ̄ ̄ ̄
     (   )| |_____    ∧_∧   <  おらっ!>>598、この度は、
     「 ⌒ ̄ |   |    ||   (´Д` )    \ 弊社の欠陥品が大変ご迷惑を
     |at  /  ̄   |    |/    「atmel\    |お掛けしたとのこと、是非一度
     |mel | |    |    ||    ||   /\\   |謝罪をしたく駆けつけました。
     |    | |    |    |  へ//|  |  | |    \_____________
     |    | |    ロ|ロ   |/,へ \|  |  | |
     | ∧ | |    |    |/  \  / ( )
     | | | |〈    |    |     | |
     / / / / |  /  |    〈|     | |
    / /  / / |    |    ||      | |
   / / / / =-----=--------     | |

15 :
401 名前:774ワット発電中さん [sage]: 2013/01/26(土) 00:16:05.33 ID:SBbm+mVs (3)
昨夜からアドバイスを頂きました皆様、ありがとうございました。
答えがやっと解りました。
3端子レギュレータは正面向いて左より IN-GND-OUTと78XXシリーズを
使った経験から思い込んでいました。
LM317はADJ-OUT-INと言うことに気が付きました。
無事完成までこぎつけました。
ありがとうございました。
402 名前:774ワット発電中さん [sage]: 2013/01/26(土) 00:29:57.85 ID:8LRyxnGt
これはひどい
403 名前:774ワット発電中さん [sage]: 2013/01/26(土) 00:33:31.71 ID:kDK2iOwB (4)
こんなとき、どんな顔すればいいのかわからないの

16 :
http://siva.cc.hirosaki-u.ac.jp/usr/koyama/mymelo/index.htm
この3和音オルゴールをTiny13Aに入れて見た
さすがAVRだアセンブラ使わんでも512ワードに余裕で入った

17 :
>さすがAVRだアセンブラ使わんでも512ワードに余裕で入った
PICでも余裕だしょ

18 :
ATMega88vでXBEE通信をしようと思っています。
構成は、下のようになっています。
ATMEGA88v==XBEE      XBEE==PC(tera term)
AVRの方は
int main(void){
DDRD = 0xFC;
PORTD = 0x00;
UBRR0 = 25;
UCSR0A = 0b00000000;
UCSR0B = 0b00011000;
UCSR0C = 0b00000110;
while(1)
{
while(!(UCSR0A & 0b00100000));
UDR0 = 'A';
_delay_ms(100);
}
return 0;
}
で、ボーレート2400くらいでAという文字を送信し続けています。
一方PC側のTeratermではボーレート2400で受信をします。
何らかのデータは受信しているようなのですが、
表示される文字がAではなく■(縦に細い四角)になっています。
特にプログラム上には問題ないように思えます、シンプルなので。。。
初めてのXBEEなので基本的な見落としがあるのかもしれませんが、
何か分かるかたいらっしゃいませんでしょうか?

19 :
あ、正しい構成はこうでした。。。
ATMEGA88 == AE-XBee-REG-DIP == XBee    XBee == AE-XBee-USB == PC
AE-Xbee-REG-DIPは2mmから2.54mmのDIP変換基板です。
AE-XBee-USBはUSBシリアル変換するためのモジュールです。

20 :
AVRのクロックいくつ?UBRR0=25なら1MHzでも4800bpsになるが・・・

21 :
あ、ごめんそれは倍速動作だ

22 :
文字コードとかは

23 :
XBee標準の9600bpsにしとけ

24 :
>>23
おぉ・・・9600bpsに合わせたらいけました!!
ありがとうございます!!

25 :
>>13
おーありがとうございます。安心しました。

26 :
>>16
よいこはPWM出力ピンとGNDにダイナミックスピーカーを直結しちゃいけないぞ

27 :
なんで?

28 :
tiny1634データシート見てて今更気づいた。
これ、Max12MHzじゃん…orz

29 :
せやな

30 :
Arduinoを使えば電気炊飯器にセンサーとヒータードライバーをつけ
それをArduinoのADCとPWMで制御して
美味しいご飯を炊けそうな気がするな
売れるかもArduino炊飯器

31 :
大事なのはどういう炊き方が美味しいかであって、デバイスが何かなんてどうでもいいの。

32 :
マイコン制御していない炊飯器って有るのか?

33 :
http://uni.2ch.net/test/read.cgi/denki/1361510602/509
509 :774ワット発電中さん :2013/03/23(土) 19:57:09.32 ID:eAxLfcYC
普通の炊飯器じゃん

34 :
これでサーボモータが変な動きをするのですが、
何か間違ってるでしょうか?
999のところで固定されてほしいのですが、
ちょろちょろサーボが動いてしまいます。
#include <avr/io.h>
#include <util/delay.h>
int main(void)
{
DDRB = 0b11111110;
PORTB = 0b00000000;
TCCR1A = 0x82;
TCCR1B = 0x19;
TCCR1C = 0x00;
TIMSK1 = 0x00;
OCR1A = 999;
ICR1 = 19999;
while(1)
{
}
}

35 :
大事なことを書き忘れました。
サーボはATMEGA88vです。

36 :
for(;;) delay(1000);

37 :
>>34
http://elm-chan.org/docs/avr/jitter.html

38 :
>>37
このズレが原因ということですか?
具体的にどう対処すればいいのでしょうか?

39 :
クロックのジッタでサーボが変な動きになるほど影響があるとは
思えんが…
まずはサーボが正しく動くパルスタイミングになっているかどうか
確認してみたらいいんじゃないの

40 :
ヒューズ設定がデフォルトのままで、動作クロックが低くて、サーボが追従
するパルス周期の最大値(20ms)より遅くなっているんじゃないかな。

41 :
安いArduinoボード買うか16MHzの水晶付けてArduino化して実験してから自分で作るのがいいんじゃないか
servo1.write(angle)
servo1.writeMicroseconds(uS)
って感じで12個まで操作できるぞ
サーボが増えてくると電源や実装のトラブルなのかプログラムミスなのかわけのわからん状態になるし

42 :
なるほどです・・・。
ちょっとパルスから調べてみます。オシロ借りにいかないと・・・。
>>40
最初はArduinoで
DigitalWrite(3, HIGH);
delay(2);
DigitalWrite(3, LOW);
delay(18);
みたいに簡易的な実験をして(Serboのライブラリを使わず)、
その時は正常に動いたのでそのままマイコンに移りました。
最終的にはマイコンでやりたいので、もう少しマイコン頑張ってみます。

43 :
AVR(ATmega)に手を付けようと考えているのですが、何かいい参考書は
ありませんか?言語はCしかできません。

44 :
本ではないが、Arduinoで入門して、ちょっとづつ内部のレジスタのいじり方とか探ってくのがいいと思う

45 :
>>30
自動節電つきマイコン給湯器を分解したら
8ピンくらいのま豆みたいなAVRが載ってたけど

46 :
ストップウォッチを作りたいと思います。
スイッチ1でスタート、スイッチ2でストップ。
経過時間をLCDに表示させます。
タイマ部は今精度を気にしないので、_delay_ms(1)を1000回まわして1秒。
割り込みを使わないで作ってみたのですが、スタートはかけられるものの
ストップがききません。やはりスイッチからの入力は割り込みをつかわないと
だめなのでしょうか。

47 :
>スイッチからの入力は割り込みをつかわないと
>だめなのでしょうか。
そんなことはない。

48 :
ループの中で、スイッチ2を監視してください。

49 :
タイマー割り込み使うと楽だぜ
1/100秒くらいで読めばチャタリングなんか気にする必要もない

50 :
精度を気にしないってどんなストップウォッチだよ

51 :
>>50
> タイマ部は今精度を気にしないので、
「製作途中の今んとこは」って意味だろ

52 :
タイマー割り込みに慣れるとあっというまにできるね

53 :
「割り込みを制する者はMCUを制する」
という言葉がある位だから、スキルアップの為に割り込みを使ったほうがいいと思います。
(著者注:上記の標語はたった今、私が作りました)

54 :
ソースをみせてくれい

55 :
LCDの表示中にスイッチを押してしまう可能性が十分にあるから、
割り込みを使うのが楽だと思う
使わなくても可能だけど、素直に使うのが簡単

56 :
例えばrunフラグみたいの作っといて、スイッチが押されるごとにポート割り込みで
runフラグを反転する
で、時間カウントはタイマー割り込みで時間をインクリメントする
で、その時にフラグを見て、フラグが1ならカウント、0ならカウントしないみたいに
すれば行けそうな気がする
割り込みルーチン内の処理は極力軽くが重要だと思う

57 :
高橋名人でも16連射なんだから、普通の人用なら、20ms間隔位で
ポートを見てれば十分と思う。

58 :
一般人の方が高橋名人に勝っとるやないかい
一般人に50連射されたらまずいやないかい

59 :
AVRとArduinoの関係がよくわからない・・・
AVRを使った開発環境の一つがArduinoなんでしょうか?

60 :
そだね
AVRは単にマイコンの種類
Arduinoは、IDEやArduino言語、基板などまで含めた、AVRマイコンを使った
ソリューションの一つ

61 :
>>59
従来のマイコンはフラッシュROMなどに
ライターでマシン語を書き込んで実行していたのに対して
ArduinoではROMにはブートローダだけを書き込み
そのブートローダを用いて
シリアルポートを用いたPCとの通信
シリアルポート経由でのプログラム領域への書き込み
書き込まれたプログラムの実行
を実現した
さらに、マイコンのボードの設計をオープンソースとして公開した
これにより各種拡張基板を差すだけで様々な機能を実現出来るようにした

62 :
>>53
( ̄ー ̄)ニヤリッ

63 :
attiny2313に12Mhzクリスタルを取り付けたいと思っております。
22pFのセラコン*2を取り付けヒューズビットを下記のように設定しました。
1 分周1/1
1 出力なし
1 水晶発振子低速上昇電源
1 同
1 8MHz〜設定
1 同
1 同
1 水晶発振子低速上昇電源
しかしながら動作しません(と言うかしているのかわからない)。
チェック方法として以下のプログラムでLチカするかどうかで
確認していました。(対応ピンにLED+抵抗器をつけています)
接続は
○対応ピンにLED+抵抗器
○VCC・GND間に0.1μFパスコン
#include <avr/io.h>
#include <util/delay.h>
void delay(uint16_t i)
{
while(i--) _delay_ms(1);
}
int main(void){
DDRB = 0b11111111;
PORTB = 0b00000000;
DDRD = 0b11111111;
PORTD = 0b00000000;
while(1){
PORTD = 0b00000001;
delay(1000);
PORTD = 0b00000000;
delay(1000);
}
}
内蔵クロックだとチカチカしてくれるのですが、12MHzクリスタル
取り付け時だと光ってくれません。
クリスタル不良も考え何個か交換しましたが改善されず。
何か他見落としているような項目もしくは間違いはありますでしょうか?

64 :
fuse の設定はそれでいいと思うから
あとは22pFのセラコンを付けないでクリスタルだけ付けて動作しないかとか

65 :
外部発振子にして発振してないとシリアル書き込みもできないんだったか

66 :
>>64
セラコン外したら動きましたヽ(=´▽`=)ノ
え、あれ?セラコンがいらない子なんすか?
AVRの仕様書見ても他の工作事例見ても
必須必須言ってるからまったく疑いもしませんでした。
>>65
プログラマは共立のAVRWRT3を使っていて緊急用クロック
出してくれるんでそのへんは大丈夫でした。


これで前に進めます。
どもでした!

67 :
PICとの比較だとAVRマイコンの場合
外付けクリスタルに付けるコンデンサの値は低めになる
コンデンサつけると発振周波数が安定するというのはあるから
12pFぐらいが最適値という印象をもっている
「付けすぎるくらいなら付けない方がいい」というのが
AVRマイコンの外付けクリスタルに関する個人的な標語

68 :
俺は22pでも動いたけどなぁ〜

69 :
ものによるね
調子のわるいコンデンサ内蔵セラミック発振子のGNDを接続しないことで
内蔵コンデンサを無効化したら安定して発振するようになったとか

70 :
セラコンが不良だったって可能性もあるじゃないか

71 :
発振条件についてもっと勉強したほうがよさそう

72 :
>>66
> え、あれ?セラコンがいらない子なんすか?
んなこたぁ〜ないw
発振は、一周回って位相が360°で増幅度が1以上なら発振する。
位相なぞ、作り方で簡単に狂う。
・基板の作り方がヘタで、浮遊容量タップリ。負荷容量が過剰になった。(位相が進み過ぎ)
・配線長が長すぎてインダクタンスがタッブリ。(位相が逆に遅れてる)
・負荷容量のグランド側と、マイコンのGNDビンが遠い。(発振回路はアナログ回路)

73 :
>>72
なるほど・・・参考になります。
取り回しをもう少し考えてみたいと思います。
あとはハンダ付けの練習ですね^^;
クリスタルグランドとマイコングランド、基板配置で見ると
最も遠い位置にありました(;´∀`)
”発振回路はアナログ回路”肝に銘じます。

74 :
>>72
一周回っちゃうと元に戻っちゃうからどうかな…不安定にはなるだろうけど
条件としては180度反転してゲインが1以上なら、かな

75 :
>>74
一般論としてその認識は正しい。
でも、反転バッファは入力容量に遅延があってピッタシ180度じゃないんだな。
(水晶のL成分と負荷容量2個だけでは、綺麗に180度反転しない)
(増幅度との兼ね合いもあり、ちょっとズレたところで落ち着く)
発振器スレに行くともっと詳しくウンチクが聞けると思う。

76 :
発振はロマンだ

77 :
>74
ttp://siva.cc.hirosaki-u.ac.jp/usr/koyama/arduino/img2313/startubrd.jpg
ブレッドボードでも大丈夫みたいな。。。
ノーマルな22P推奨。積層セラの青いのはシビアな感じ。

78 :
文字で聞けるなんてロマンだな

79 :
>>75
うむ。>>72みて、それ言うなら180度かなと思って書きました。

80 :
>>77
そのくらいの距離ならいけるのかな
特にマイコンのGNDと負荷容量のGNDは極力短くしたい感じがする

81 :
水晶の配線とUSBの配線が交差してるなんて最悪のパターンだけどな

82 :
動いてる物を最悪というのはいかがなものか

83 :
ブレッドボードで動いたからといって、そんなパターンで設計したらまずい
だろ。動いていてもジッタが発生してるかも知れないし。
もっとも水晶を近づけても、ブレッドボードの導体はつながってるから同じ
事なんだけどね。

84 :
そんなパターンで設計することを心配してるのか。
それこそ無いわ。無い無い。

85 :
>>66
発振回路としては負荷としてC(容量)必要だが、クリスタルの特性とか、
発振回路の特性によって、容量の調整が必要。

86 :
>>83,84
たしか秋月のキットだったと思うけど、見た目がユニバーサルボードで
(つまり全面に等間隔でスルーホールがある)
スルーホールがパターンでつながっているという基板を使っていた。
自分で改造しやすいし、簡単な回路だから、まぁこれはこれでOKだと思ったけど。

87 :
>>82
その動けばいいって発想、おまいは中華か?

88 :
>>87
短時間で壊れたりど稼働中に事故らない限り動いていないよりは100倍マシ。

89 :
動いてるものが最悪なら、動かないものは?
最悪ってのは最も悪いという意味だけど

90 :
>>81は最悪の「パターン」
動かないものは最悪の「ユニット」(または装置とか製品とか)

91 :
>>89
不確かな内容なら動かないほうがまだマシ。
ヘタに「たまたま」動いてるようなものを運用させるのはどう考えても最悪。

92 :
交差してるより並走してるほうが条件は悪い。よって交差が最悪というのは誤り。

93 :
ユニバーサル基板はあくまでの仮組み。たまたまでもきちんと動けば良い。
ユニバーサル基板で運用させるのは誤り。

94 :
もといブレッドボード。大事なところで間違えてカッコ悪いオレ。

95 :
私にとっての最悪とは、たとえば
「24時間連続運転の機械が月1くらいの頻度で具合が悪くなり、自然に復旧する」
なんてのかな。
以前、現場に呼び出されて担当者から「1日XX万円の損失だ」とイヤ味を言われたが、
今は元気良く動いている機械を前にして、何をどうして良いか見当もつかず、
♪無能な〜エンジニア、困ってしまってワンワン、ワワン♪
本日の標語:「微妙に動いている装置は微妙に壊れる」

96 :
ユニバーサル基板とブレッドボードと勘違いしてない?

97 :
失礼、リロードしてなかった

98 :
画像元は大学のバソコン制御学習だ。運用とか24時間運転とか関係無い。

99 :
「たまたま〜動けば良い」と考えてるなら学習としての価値などない。

100read 1read
1read 100read
TOP カテ一覧 スレ一覧 2ch元 削除依頼
【Renesas】ルネサス 78K R8C RL78 RX SH V850 6 (279)
AVRマイコン総合スレ Part28 (234)
pic初心者専用のスレ その1 (259)
【Renesas】ルネサス 78K R8C RL78 RX SH V850 6 (279)
pic初心者専用のスレ その1 (259)
AVRマイコン総合スレ Part28 (234)
--log9.info------------------
【VGA】糞 隔離スレッド part 47 【コミュニティ】 (531)
【ギャンブラー】競馬・ギャンブル板@出張所【集まれ】 (225)
【エモバ対応】WN-G54/DCR【ルーター】 (416)
スレ立て依頼所 (616)
【 wimax 】egg iWWR-1000J【 ヤマダ電機・S&H 】 (312)
■パソコン持ち込み可能な公共図書館■ (420)
【hp】B5ノートPC・nc4000【IEEE802.11a,b,g対応】 (961)
【名古屋】コンプマート大須モバイルサイト【閉店】 (313)
■DELL Axim X3/X30■ (406)
【H/PC】ビクターInterLink 4【C101/102/303/304】 (773)
HP iPAQ hx4700 Pocket PC(その15) (385)
【なにが】iPAQ hx2*90 シリーズ【なんでも】 (321)
LX以外のDOS端末(DOSモバ,InterTop,オアポケ etc..) (417)
【MIPS】カシオペアE-750 Part2【最強】 (931)
ソニータイマーについて語るスレ@ (942)
【BE-500】カシオペア ラジェンダ 川σ_σ||イイVol.21 (206)
--log55.com------------------
【MGSV】METAL GEAR SOLID V part514【GZ/TPP】
【2019】クソゲーオブザイヤー part13【据置】
【総合スレ】龍が如く【四百三十三代目】
【Switch】大乱闘スマッシュブラザーズ SPECIAL 第3回2chトーナメント【スマブラSP】
ASSASSIN'S CREED アサシンクリード総合 380旗目
【PS4/XB1】Anthem アンセム 募集スレ
【Switch】Splatoon2/スプラトゥーン2 A〜B帯スレ 89【質問/雑談】
Devil May Cry 総合【mission 370】